Verilog Vivado Stopwatch.xdc Code

 1
 2
 3
 4
 5
 6
 7
 8
 9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
## XDC for stop watch
# clock: 100MHz
set_property -dict { PACKAGE_PIN E3     IOSTANDARD LVCMOS33 } [get_ports { clk }];

# rest
set_property -dict { PACKAGE_PIN N17     IOSTANDARD LVCMOS33 } [get_ports { rst }];

#enable
set_property -dict { PACKAGE_PIN J15     IOSTANDARD LVCMOS33 } [get_ports { en }];

#secled
set_property -dict { PACKAGE_PIN H17     IOSTANDARD LVCMOS33 } [get_ports { secled }];

## 7 segment display

set_property -dict { PACKAGE_PIN T10     IOSTANDARD LVCMOS33 } [get_ports { Seg[6] }];
set_property -dict { PACKAGE_PIN R10     IOSTANDARD LVCMOS33 } [get_ports { Seg[5] }];
set_property -dict { PACKAGE_PIN K16     IOSTANDARD LVCMOS33 } [get_ports { Seg[4] }];
set_property -dict { PACKAGE_PIN K13     IOSTANDARD LVCMOS33 } [get_ports { Seg[3] }];
set_property -dict { PACKAGE_PIN P15     IOSTANDARD LVCMOS33 } [get_ports { Seg[2] }];
set_property -dict { PACKAGE_PIN T11     IOSTANDARD LVCMOS33 } [get_ports { Seg[1] }];
set_property -dict { PACKAGE_PIN L16     IOSTANDARD LVCMOS33 } [get_ports { Seg[0] }];

set_property -dict { PACKAGE_PIN H15     IOSTANDARD LVCMOS33 } [get_ports { Seg[7] }];

set_property -dict { PACKAGE_PIN J17     IOSTANDARD LVCMOS33 } [get_ports { AN[0] }];
set_property -dict { PACKAGE_PIN J18     IOSTANDARD LVCMOS33 } [get_ports { AN[1] }];
set_property -dict { PACKAGE_PIN T9     IOSTANDARD LVCMOS33 } [get_ports { AN[2] }];
set_property -dict { PACKAGE_PIN J14     IOSTANDARD LVCMOS33 } [get_ports { AN[3] }];
set_property -dict { PACKAGE_PIN P14     IOSTANDARD LVCMOS33 } [get_ports { AN[4] }];
set_property -dict { PACKAGE_PIN T14     IOSTANDARD LVCMOS33 } [get_ports { AN[5] }];
set_property -dict { PACKAGE_PIN K2     IOSTANDARD LVCMOS33 } [get_ports { AN[6] }];
set_property -dict { PACKAGE_PIN U13     IOSTANDARD LVCMOS33 } [get_ports { AN[7] }];

This is the Verilog code that written with Vivado for implementing Stopwatch in FPGA Board(Xilinx; Nexys S).

'Study' 카테고리의 다른 글

Verilog Vivado mod_n.v Code  (0) 2019.05.26
Verilog Vivado tb_Stopwatch.v Code  (0) 2019.05.26
Verilog Vivado Count_8 Bit.v Code  (0) 2019.05.26
Verilog Vivado Lab14Stopwatch.v Code  (0) 2019.05.26
Verilog tb_HalfAdder.v Code  (0) 2019.05.26

+ Recent posts