Verilog Vivado Lab14Stopwatch.v Code

 1
 2
 3
 4
 5
 6
 7
 8
 9
10
11
12
13
14
15
16
17
`timescale 1ns / 1ps
//////////////////////////////////////////////////////////////////////////////////
//////////////////////////////////////////////////////////////////////////////////

module Lab14stopwatch(
    input en,
    input clk,
    input rst,
    output [7:0] AN,
    output secled,
    output [7:0] Seg
    );
    wire [3:0] X0, X1, X2, X3;
    
    stopwatch u0 (.rst(rst), .en(en), .clk(clk), .secled(secled), .Q10(X0), .Q1(X1), .Qs1(X2), .Qs10(X3));
    Dsp7Seg u1(.X0(X0), .X1(X1), .X2(X2), .X3(X3), .rst(rst), .clk(clk), .dsp(Seg), .AN(AN));
endmodule

This is the Verilog Code that written with Vivado for implementing Stopwatch.

'Study' 카테고리의 다른 글

Verilog Vivado Stopwatch.xdc Code  (0) 2019.05.26
Verilog Vivado Count_8 Bit.v Code  (0) 2019.05.26
Verilog tb_HalfAdder.v Code  (0) 2019.05.26
Verilog tb_FullAdder.v Code  (0) 2019.05.26
Verilog tb_Dsp7Seg.v Code  (0) 2019.05.26

+ Recent posts