Verilog Vivado tb_Stopwatch.v Code

 1
 2
 3
 4
 5
 6
 7
 8
 9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
`timescale 1ns / 1ps
//////////////////////////////////////////////////////////////////////////////////
// Testbench for stopwatch
//////////////////////////////////////////////////////////////////////////////////
module tb_stopwatch(

    );
    reg clk, en, rst;
    wire [3:0] X0, X1, X2, X3;
    wire secled;
    stopwatch U0(.rst(rst), .en(en), .clk(clk), .secled(secled), .Q10(X0), .Q1(X1), .Qs1(X2), .Qs10(X3));
    
    initial begin
        clk=1'b0;
        en = 1'b1;
        rst = 1'b0;
        #5 rst = 1'b1;
        #6 rst = 1'b0;
        #100 $stop;
    end
    
    always begin
        #5 clk <= ~clk;
    end
    
endmodule

This is the Verilog code that written with Vivado for implementing Stopwatch in FPGA Board(Xilinx; Nexys S).

'Study' 카테고리의 다른 글

Verilog Vivado Stopwatch.v Code  (0) 2019.05.26
Verilog Vivado mod_n.v Code  (0) 2019.05.26
Verilog Vivado Stopwatch.xdc Code  (0) 2019.05.26
Verilog Vivado Count_8 Bit.v Code  (0) 2019.05.26
Verilog Vivado Lab14Stopwatch.v Code  (0) 2019.05.26

+ Recent posts